基于VHDL的自动售货机设计设计论文.doc

文档编号:1081596 上传时间:2022-07-18 格式:DOC 页数:24 大小:378.01KB
下载 相关 举报
基于VHDL的自动售货机设计设计论文.doc_第1页
第1页 / 共24页
基于VHDL的自动售货机设计设计论文.doc_第2页
第2页 / 共24页
基于VHDL的自动售货机设计设计论文.doc_第3页
第3页 / 共24页
点击查看更多>>
资源描述

1、黄山学院本科毕业论文 本科生毕业论文(设计) 基于VHDL的自动售货机设计 23目 录中 文 摘 要 2英 文 摘 要 3引 言 4 1. 介绍及设计任务5 1.1EDA技术51.1.1EDA的介绍与发展51.1.2EDA的设计流程51.1.3VHDL介绍51.2自动售货机的应用与设计背景61.2.1自动售货机的应用介绍61.2.2自动售货机的设计要求62.系统分析与设计方案72.1系统分析72.2系统设计方案73.Max+Plus设计过程93.1Max+Plus的介绍93.2各模块的VHDL设计113.2.1货物信息的存储模块的设计123.2.2 进程控制模块的设计123.2.3硬币处理模块

2、的设计133.2.4 余额计算模块的设计143.2.5显示功能模块的设计15 3.3 顶层电路的设计流程163.4仿真功能与测试17 3.4.1 编译及仿真17结束语21参考文献22致谢23基于VHDL的自动售货机设计*指导老师:*(*信息工程学院,*)摘 要:在当今社会随着电子技术获得了飞速发展的情况下,现在电子产品几乎渗透了社会的各个领域,有力的推动了社会生产里的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。首先EDA技术在进入21世纪后,得到了很大的发展,其基本特征是采用高级语言描述,具有系统级仿真和综合能力。VHDL硬件描述语言是涉及源文

3、件可以采用类似与C语言的书写形式,并采用结构设计方法。通过VHDL为基础设计了一种自动售货机的设计。整个设计以两个计数器为核心,30秒计数器通过与按键联合控制整个购买过程;8秒计数控制饮料出口开关的自动开关,从而达到控制输出流量。全文提供了投币信号处理,购买过程处理,开关流量控制几个主要控制模块的设计思路及方案。并给出了各模块和总体系统设计的仿真。关键词:VHDL; 投币; 计数器; 控制The design of vending machine based on VHDL* Director:* (Information Engineering College, *)Abstract:Ele

4、ctronic technology has experienced rapid growth, now in its electronic product almost permeated every area of society has vigorously promoted the development of social production and the improvement of social information level, also make modern electronic product performance to further improve the r

5、hythm of becoming obsolete also more and more quick first EDA technology in the 21st century, got great development, its basic characteristics are described by a high-level language, with system-level simulation and comprehensive ability VHDL hardware description language is design source files can

6、use similar and C language writing form, and USES the structure design method. A new design of coin drinking seller based on VHDL is introduced. The most important part in this design are two counters,the 30 seconds counter combined with keys control the process of selection by cosumers.;the 8 secon

7、ds counter works as a controller to control the rate of output flow. This article provided the thoughts and schemes for these key parts such as:coin signal process,selection process and rate flow control.At last the paper present the emulation result for whole design.Key Words:VHDL; coin; counter; c

8、ontrol引言目前,随着移动商务的发展,自动售货机作为一种方便、直观的移动商务工具,开始在全球各地迅速普及和发展。在中国,自动售货机将成为一个潜在的巨大产业,继百货商店、超市之后掀起第三次零售业革命,前景非常广阔。售卖的商品可根据摆放场所的需要量身定制,包括冷热饮料、零食、电话卡及国外进口的特色商品等。并且,透过这种智能售货系统,存货、销售、物流信息可以准确、及时地反馈给客户和管理人员。此外,还支持多种交易支付模式,同时方便实现较大金额的支付和交易结算。在2004年,通过手机购物的无线自动售货机在国内开始出现,天津南开戈德公司已研制此种类型的自动售货机。这种新一代自动售货机除了更方便售卖货品

9、之外,还被视为一种传播广泛的广告媒介。在国外,自动售货机发展相对成熟。日本的自动售货业已经有2000多种机型、6000 多种商品。在美国,运营商就多达10800 家,并且具有与大饮料商、大食品商的合作经验 。在日本,由于其无所不在,24h 供应, 自动售货机很受特别忙碌的人欢迎。在自动售货机相关的所有研究领域中,全球对其关键技术的研究主要集中在以下3个方面:a. 系统内部销售动作实现方式的研究;b. 资金结算及销售信息统计管理的实现方式研究;c. 功耗节省模式的研究。根据目前,系统内部销售动作实现方式的研究已基本成熟,研究最多的主要集中在资金结算及销售信息统计管理的实现方式 和功耗节省模式上。

10、自动售货机制作时使用的EDA技术与传统的电子设计相比,传统的电子设计方法是一种自底向上且费时费力的设计方法,而现代电子设计技术(EDA)是自顶向下且先进高效的。在电子产品的设计理念、设计方式、系统硬件构成、设计的重用性、知识产权、设计周期等方面,EDA技术具有一定的优势。1 介绍及设计任务1.1 EDA技术1.1.1 EDA的介绍与发展EDA是Electronic Design Automation(电子设计自动化)的缩写,EDA技术是20实际90年代初以来迅速发展起来的现代电子工程领域的一门新技术。它以可编程逻辑器件(PLD)为载体,以计算机为工作平台,以EDA工具软件为开发环境,以硬件描述

11、语言(HDL)作为电子系统功能描述方式,以电子系统设计为应用方向的电子产品自动化设计过程1。在当今以数字化和网络化为特征的信息技术革命大潮中,电子技术获得了飞速发展,现代电子产品渗透到了社会的各个领域。现代电子产品的性能进一步提高,功能越来越复杂,集成化智能化程度越来越高,更新换代的节奏越来越快,开发风险也越来越大,而且正向着功能多样化,体积小型化,功耗最低化的趋势发展。所有这些,都给电子系统设计师们带来了前所未有的压力,面对这种压力,唯一的出路是熟练掌握EDA技术,并获得其的有力支持。EDA技术的出现,极大的提高了电路设计的效率和可靠性,减轻了设计者的劳动强度,EDA是电子产品开发研制的动力

12、源和加速器,是现代电子设计的核心。随着基于PLD的EDA技术的发展和应用领域的扩大和深入,它在电子信息,通信工程,自动控制及计算机应用领域的重要性日益突出2。1.1.2 EDA的设计流程及优点 EDA的设计流程如下:(1)根据设计题目要求编写相应程序代码(2)对编写的VHDL程序代码进行编译和仿真(3)利用实验箱完成硬件验证(4)总结设计内容,完成课程设计说明书EDA技术与传统的电子设计相比,传统的电子设计方法是一种自底向上且费时费力的设计方法,而现代电子设计技术(EDA)是自顶向下且先进高效的。在电子产品的设计理念、设计方式、系统硬件构成、设计的重用性、知识产权、设计周期等方面,EDA技术具

13、有一定的优势3。1.1.3 VHDL介绍VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。VHDL的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。但是,由于它在一定程度上

14、满足了当时的设计需求,于是他在1987年成为ANSI/IEEE的标准(IEEE STD 1076-1987)。1993年更进一步修订,变得更加完备,成为ANSI/IEEE的ANSI/IEEE STD 1076-1993标准。目前,大多数的CAD厂商出品的EDA软件都兼容了这种标准4。在MAX软件平台上有很多种输入方式,特别介绍VHDL是因为用VHDL语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革。与传统设计方法相比,VHDL描述电路行为的算法有很多优点:(1) 设计层次较高、用于较复杂的计算时,能尽早发现存在的问题,缩短设计周期。(2) 独立实现,修改方便,系统硬件描述能力强。(3) 可读性好,有利于交流,适合于文档保存。(4) VHDL语言标准、规范、移植性强。(5) VHDL类型众多而且支持用户自定义类型,支持自顶而下的设计方法和多种电路的设计。1.2 自动售货机的应用与设计背景1.2.1 自动售货机的应用介绍从广义讲自动售货机是通过投入硬币后便可以销售商品的机械,从狭义来讲就是自动销售商品的机械。从供给的条件看,自动售货机可以充分补充人力资源的不足,适应消费环境和消费模式的变化,24小时无人售货的系统可以更省力,运营时需要的资本少、面积小,有吸引人们购买好奇心的自身性能,可以很好

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

启牛文库网为“电子文档交易平台”,即用户上传的文档直接卖给(下载)用户,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。

本站是网络服务平台方,若您的权利被侵害,请立刻联系我们并提供证据,侵权客服QQ:709425133 欢迎举报。

©2012-2025 by www.wojuba.com. All Rights Reserved.

经营许可证编号:京ICP备14006015号